主题:关于pclk的输出是由哪个时钟决定的?

  共有24867人关注过本帖    
dust
1楼


关于pclk的输出是由哪个时钟决定的?  发帖心情 Post By:2004-7-26 10:52:00   [只看该作者]

我现在需要产生一个频率和周期个数可控制的方波信号,想采用pclk信号实现,但阅读手册后没有看见关于如何控制pclk的说明,想问一下我的想法是否可实现呢?

  单帖管理 | 引用 | 回复 回到顶部
x10
2楼


  发帖心情 Post By:2004-7-26 11:59:00   [只看该作者]

我们是在后续的ETR186.CPP中加入了对PCLK的控制EnablePCLK(...)。如果你的库没有的话,请发一个email,告诉我们你的mail以便把更新的低层驱动发给你。另外由于我们在新的ETR186的CN2中增加了SPI接口(3线,软件仿真),你可以不管它。

  单帖管理 | 引用 | 回复 回到顶部
dust
3楼


  发帖心情 Post By:2004-7-28 12:47:00   [只看该作者]

我的邮箱,等你的邮件阿:)

  单帖管理 | 引用 | 回复 回到顶部
x10
4楼


  发帖心情 Post By:2004-7-28 22:42:00   [只看该作者]

最新驱动程序已发到你的邮箱,请查收。

  单帖管理 | 引用 | 回复 回到顶部
dust
5楼


  发帖心情 Post By:2004-8-5 14:25:00   [只看该作者]

对不起啊,我的邮箱写错了,请麻烦再发一份给我

  单帖管理 | 引用 | 回复 回到顶部
dust
6楼


  发帖心情 Post By:2004-8-5 15:41:00   [只看该作者]

我的问题是:pclk是由什么产生?是否是由r8822内部时钟tmr1产生?看了r8822的手册后,不知道R8822的内部频率是多少呢?还有它的tmrin1引脚是接在etr186的哪个引脚呢?pclk产生的方波频率我已经知道怎么计算了,但我现在想控制方波个数,怎么实现呢?用tmr1的计数器可以么?

  单帖管理 | 引用 | 回复 回到顶部
x10
7楼


  发帖心情 Post By:2004-8-5 15:53:00   [只看该作者]

请参见EnablePCLK(unsigned Divider)函数代码和相关注释,我们现在把Timer1的输入时钟设置为内部6MHz。控制方波的数量,可能需要计数器工作在单次模式,看看R8822D手册吧

  单帖管理 | 引用 | 回复 回到顶部

返回首页

关于pclk的输出是由哪个时钟决定的?

用户名:
            验证码: 验证码,看不清楚?请点击刷新验证码
内容: